summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--TODO2
-rw-r--r--src/core/load-fragment.c1
2 files changed, 3 insertions, 0 deletions
diff --git a/TODO b/TODO
index e3874863ad..21996eae90 100644
--- a/TODO
+++ b/TODO
@@ -43,6 +43,8 @@ CGroup Rework Completion:
Features:
+* sd-bus: synthesized messages should get serial number (uint32_t) -1
+
* sd-event: allow multiple signal handlers per signal
* timer: expose accuracy as unit setting
diff --git a/src/core/load-fragment.c b/src/core/load-fragment.c
index de612f05cb..110f7fbb6e 100644
--- a/src/core/load-fragment.c
+++ b/src/core/load-fragment.c
@@ -298,6 +298,7 @@ int config_parse_socket_listen(const char *unit,
}
p->fd = -1;
+ p->socket = s;
if (s->ports) {
LIST_FIND_TAIL(port, s->ports, tail);