summaryrefslogtreecommitdiff
path: root/test/sys/bus/acpi/devices
diff options
context:
space:
mode:
Diffstat (limited to 'test/sys/bus/acpi/devices')
l---------test/sys/bus/acpi/devices/ACPI0003:001
l---------test/sys/bus/acpi/devices/ACPI0007:001
l---------test/sys/bus/acpi/devices/ACPI0007:011
l---------test/sys/bus/acpi/devices/ATM1200:001
l---------test/sys/bus/acpi/devices/IBM0057:001
l---------test/sys/bus/acpi/devices/IBM0068:001
l---------test/sys/bus/acpi/devices/IBM0079:001
l---------test/sys/bus/acpi/devices/LNXPOWER:001
l---------test/sys/bus/acpi/devices/LNXPWRBN:001
l---------test/sys/bus/acpi/devices/LNXSYSTM:001
l---------test/sys/bus/acpi/devices/LNXTHERM:001
l---------test/sys/bus/acpi/devices/LNXTHERM:011
l---------test/sys/bus/acpi/devices/LNXTHERM:021
l---------test/sys/bus/acpi/devices/PNP0000:001
l---------test/sys/bus/acpi/devices/PNP0100:001
l---------test/sys/bus/acpi/devices/PNP0103:001
l---------test/sys/bus/acpi/devices/PNP0200:001
l---------test/sys/bus/acpi/devices/PNP0303:001
l---------test/sys/bus/acpi/devices/PNP0800:001
l---------test/sys/bus/acpi/devices/PNP0A08:001
l---------test/sys/bus/acpi/devices/PNP0B00:001
l---------test/sys/bus/acpi/devices/PNP0C01:001
l---------test/sys/bus/acpi/devices/PNP0C02:001
l---------test/sys/bus/acpi/devices/PNP0C04:001
l---------test/sys/bus/acpi/devices/PNP0C09:001
l---------test/sys/bus/acpi/devices/PNP0C0A:001
l---------test/sys/bus/acpi/devices/PNP0C0D:001
l---------test/sys/bus/acpi/devices/PNP0C0E:001
l---------test/sys/bus/acpi/devices/PNP0C0F:001
l---------test/sys/bus/acpi/devices/PNP0C0F:011
l---------test/sys/bus/acpi/devices/PNP0C0F:021
l---------test/sys/bus/acpi/devices/PNP0C0F:031
l---------test/sys/bus/acpi/devices/PNP0C0F:041
l---------test/sys/bus/acpi/devices/PNP0C0F:051
l---------test/sys/bus/acpi/devices/PNP0C0F:061
l---------test/sys/bus/acpi/devices/PNP0C0F:071
l---------test/sys/bus/acpi/devices/device:001
l---------test/sys/bus/acpi/devices/device:011
l---------test/sys/bus/acpi/devices/device:021
l---------test/sys/bus/acpi/devices/device:031
l---------test/sys/bus/acpi/devices/device:041
l---------test/sys/bus/acpi/devices/device:051
l---------test/sys/bus/acpi/devices/device:061
l---------test/sys/bus/acpi/devices/device:071
l---------test/sys/bus/acpi/devices/device:081
l---------test/sys/bus/acpi/devices/device:091
l---------test/sys/bus/acpi/devices/device:0a1
l---------test/sys/bus/acpi/devices/device:0b1
l---------test/sys/bus/acpi/devices/device:0c1
l---------test/sys/bus/acpi/devices/device:0d1
l---------test/sys/bus/acpi/devices/device:0e1
l---------test/sys/bus/acpi/devices/device:0f1
l---------test/sys/bus/acpi/devices/device:101
l---------test/sys/bus/acpi/devices/device:111
l---------test/sys/bus/acpi/devices/device:121
l---------test/sys/bus/acpi/devices/device:131
l---------test/sys/bus/acpi/devices/device:141
l---------test/sys/bus/acpi/devices/device:151
l---------test/sys/bus/acpi/devices/device:161
l---------test/sys/bus/acpi/devices/device:171
l---------test/sys/bus/acpi/devices/device:181
l---------test/sys/bus/acpi/devices/device:191
l---------test/sys/bus/acpi/devices/device:1a1
l---------test/sys/bus/acpi/devices/device:1b1
l---------test/sys/bus/acpi/devices/device:1c1
l---------test/sys/bus/acpi/devices/device:1d1
l---------test/sys/bus/acpi/devices/device:1e1
l---------test/sys/bus/acpi/devices/device:1f1
l---------test/sys/bus/acpi/devices/device:201
l---------test/sys/bus/acpi/devices/device:211
l---------test/sys/bus/acpi/devices/device:221
l---------test/sys/bus/acpi/devices/device:231
l---------test/sys/bus/acpi/devices/device:241
l---------test/sys/bus/acpi/devices/device:251
74 files changed, 74 insertions, 0 deletions
diff --git a/test/sys/bus/acpi/devices/ACPI0003:00 b/test/sys/bus/acpi/devices/ACPI0003:00
new file mode 120000
index 0000000000..655d3c2b80
--- /dev/null
+++ b/test/sys/bus/acpi/devices/ACPI0003:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:01/PNP0C09:00/ACPI0003:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/ACPI0007:00 b/test/sys/bus/acpi/devices/ACPI0007:00
new file mode 120000
index 0000000000..81838c937a
--- /dev/null
+++ b/test/sys/bus/acpi/devices/ACPI0007:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/ACPI0007:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/ACPI0007:01 b/test/sys/bus/acpi/devices/ACPI0007:01
new file mode 120000
index 0000000000..898e064922
--- /dev/null
+++ b/test/sys/bus/acpi/devices/ACPI0007:01
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/ACPI0007:01 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/ATM1200:00 b/test/sys/bus/acpi/devices/ATM1200:00
new file mode 120000
index 0000000000..32ea54ccc9
--- /dev/null
+++ b/test/sys/bus/acpi/devices/ATM1200:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:01/ATM1200:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/IBM0057:00 b/test/sys/bus/acpi/devices/IBM0057:00
new file mode 120000
index 0000000000..2160bb1b20
--- /dev/null
+++ b/test/sys/bus/acpi/devices/IBM0057:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:01/IBM0057:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/IBM0068:00 b/test/sys/bus/acpi/devices/IBM0068:00
new file mode 120000
index 0000000000..8cc3fb2a56
--- /dev/null
+++ b/test/sys/bus/acpi/devices/IBM0068:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:01/PNP0C09:00/IBM0068:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/IBM0079:00 b/test/sys/bus/acpi/devices/IBM0079:00
new file mode 120000
index 0000000000..37a83b4286
--- /dev/null
+++ b/test/sys/bus/acpi/devices/IBM0079:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/IBM0079:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/LNXPOWER:00 b/test/sys/bus/acpi/devices/LNXPOWER:00
new file mode 120000
index 0000000000..bc1e9ec5fd
--- /dev/null
+++ b/test/sys/bus/acpi/devices/LNXPOWER:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:01/PNP0C09:00/LNXPOWER:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/LNXPWRBN:00 b/test/sys/bus/acpi/devices/LNXPWRBN:00
new file mode 120000
index 0000000000..3d630ea18b
--- /dev/null
+++ b/test/sys/bus/acpi/devices/LNXPWRBN:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/LNXPWRBN:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/LNXSYSTM:00 b/test/sys/bus/acpi/devices/LNXSYSTM:00
new file mode 120000
index 0000000000..c72f26c090
--- /dev/null
+++ b/test/sys/bus/acpi/devices/LNXSYSTM:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/LNXTHERM:00 b/test/sys/bus/acpi/devices/LNXTHERM:00
new file mode 120000
index 0000000000..0d88be41d3
--- /dev/null
+++ b/test/sys/bus/acpi/devices/LNXTHERM:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/LNXTHERM:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/LNXTHERM:01 b/test/sys/bus/acpi/devices/LNXTHERM:01
new file mode 120000
index 0000000000..bb2431d1f3
--- /dev/null
+++ b/test/sys/bus/acpi/devices/LNXTHERM:01
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/LNXTHERM:00/LNXTHERM:01 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/LNXTHERM:02 b/test/sys/bus/acpi/devices/LNXTHERM:02
new file mode 120000
index 0000000000..8b5c6c91df
--- /dev/null
+++ b/test/sys/bus/acpi/devices/LNXTHERM:02
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/LNXTHERM:00/LNXTHERM:02 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0000:00 b/test/sys/bus/acpi/devices/PNP0000:00
new file mode 120000
index 0000000000..c67279b47b
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0000:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:01/PNP0000:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0100:00 b/test/sys/bus/acpi/devices/PNP0100:00
new file mode 120000
index 0000000000..87b7a787cd
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0100:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:01/PNP0100:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0103:00 b/test/sys/bus/acpi/devices/PNP0103:00
new file mode 120000
index 0000000000..ff1c0e5cf0
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0103:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:01/PNP0103:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0200:00 b/test/sys/bus/acpi/devices/PNP0200:00
new file mode 120000
index 0000000000..81809075f1
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0200:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:01/PNP0200:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0303:00 b/test/sys/bus/acpi/devices/PNP0303:00
new file mode 120000
index 0000000000..cd99abcc2c
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0303:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:01/PNP0303:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0800:00 b/test/sys/bus/acpi/devices/PNP0800:00
new file mode 120000
index 0000000000..f0ffdfa1db
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0800:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:01/PNP0800:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0A08:00 b/test/sys/bus/acpi/devices/PNP0A08:00
new file mode 120000
index 0000000000..99592aef58
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0A08:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0B00:00 b/test/sys/bus/acpi/devices/PNP0B00:00
new file mode 120000
index 0000000000..6cb2d7c575
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0B00:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:01/PNP0B00:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0C01:00 b/test/sys/bus/acpi/devices/PNP0C01:00
new file mode 120000
index 0000000000..197577a13f
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0C01:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0C01:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0C02:00 b/test/sys/bus/acpi/devices/PNP0C02:00
new file mode 120000
index 0000000000..077939468e
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0C02:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:01/PNP0C02:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0C04:00 b/test/sys/bus/acpi/devices/PNP0C04:00
new file mode 120000
index 0000000000..75c2e30b70
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0C04:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:01/PNP0C04:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0C09:00 b/test/sys/bus/acpi/devices/PNP0C09:00
new file mode 120000
index 0000000000..0d813f6351
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0C09:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:01/PNP0C09:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0C0A:00 b/test/sys/bus/acpi/devices/PNP0C0A:00
new file mode 120000
index 0000000000..8f050d9a62
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0C0A:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:01/PNP0C09:00/PNP0C0A:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0C0D:00 b/test/sys/bus/acpi/devices/PNP0C0D:00
new file mode 120000
index 0000000000..4aa9bb0093
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0C0D:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0C0D:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0C0E:00 b/test/sys/bus/acpi/devices/PNP0C0E:00
new file mode 120000
index 0000000000..9124fb2f19
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0C0E:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0C0E:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0C0F:00 b/test/sys/bus/acpi/devices/PNP0C0F:00
new file mode 120000
index 0000000000..3fafe1361f
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0C0F:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0C0F:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0C0F:01 b/test/sys/bus/acpi/devices/PNP0C0F:01
new file mode 120000
index 0000000000..1c40af1325
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0C0F:01
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0C0F:01 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0C0F:02 b/test/sys/bus/acpi/devices/PNP0C0F:02
new file mode 120000
index 0000000000..09320cf8cf
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0C0F:02
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0C0F:02 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0C0F:03 b/test/sys/bus/acpi/devices/PNP0C0F:03
new file mode 120000
index 0000000000..0c2fbb5115
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0C0F:03
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0C0F:03 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0C0F:04 b/test/sys/bus/acpi/devices/PNP0C0F:04
new file mode 120000
index 0000000000..6119670a38
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0C0F:04
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0C0F:04 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0C0F:05 b/test/sys/bus/acpi/devices/PNP0C0F:05
new file mode 120000
index 0000000000..50fd3653b7
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0C0F:05
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0C0F:05 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0C0F:06 b/test/sys/bus/acpi/devices/PNP0C0F:06
new file mode 120000
index 0000000000..ffc5553f15
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0C0F:06
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0C0F:06 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/PNP0C0F:07 b/test/sys/bus/acpi/devices/PNP0C0F:07
new file mode 120000
index 0000000000..3f1ea32333
--- /dev/null
+++ b/test/sys/bus/acpi/devices/PNP0C0F:07
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0C0F:07 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:00 b/test/sys/bus/acpi/devices/device:00
new file mode 120000
index 0000000000..4e97101d3d
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:00
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:01 b/test/sys/bus/acpi/devices/device:01
new file mode 120000
index 0000000000..dc2eff75ab
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:01
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:01 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:02 b/test/sys/bus/acpi/devices/device:02
new file mode 120000
index 0000000000..7a96002f9f
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:02
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:02 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:03 b/test/sys/bus/acpi/devices/device:03
new file mode 120000
index 0000000000..78b1f241b2
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:03
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:02/device:03 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:04 b/test/sys/bus/acpi/devices/device:04
new file mode 120000
index 0000000000..9e843d76fd
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:04
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:02/device:04 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:05 b/test/sys/bus/acpi/devices/device:05
new file mode 120000
index 0000000000..a4f6682167
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:05
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:02/device:05 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:06 b/test/sys/bus/acpi/devices/device:06
new file mode 120000
index 0000000000..ed5e6b1f99
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:06
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:06 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:07 b/test/sys/bus/acpi/devices/device:07
new file mode 120000
index 0000000000..f69fa70fb2
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:07
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:06/device:07 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:08 b/test/sys/bus/acpi/devices/device:08
new file mode 120000
index 0000000000..ed31186527
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:08
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:06/device:07/device:08 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:09 b/test/sys/bus/acpi/devices/device:09
new file mode 120000
index 0000000000..194fcaaf12
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:09
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:06/device:07/device:09 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:0a b/test/sys/bus/acpi/devices/device:0a
new file mode 120000
index 0000000000..9e9aad8d1d
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:0a
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:06/device:07/device:0a \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:0b b/test/sys/bus/acpi/devices/device:0b
new file mode 120000
index 0000000000..9f16cff1ef
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:0b
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:0b \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:0c b/test/sys/bus/acpi/devices/device:0c
new file mode 120000
index 0000000000..a57d0300db
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:0c
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:0c \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:0d b/test/sys/bus/acpi/devices/device:0d
new file mode 120000
index 0000000000..34f57dc91c
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:0d
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:0d \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:0e b/test/sys/bus/acpi/devices/device:0e
new file mode 120000
index 0000000000..1c1326f6d7
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:0e
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:0d/device:0e \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:0f b/test/sys/bus/acpi/devices/device:0f
new file mode 120000
index 0000000000..2426961458
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:0f
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:0f \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:10 b/test/sys/bus/acpi/devices/device:10
new file mode 120000
index 0000000000..5339f6708e
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:10
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:0f/device:10 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:11 b/test/sys/bus/acpi/devices/device:11
new file mode 120000
index 0000000000..dbb93de0f7
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:11
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:11 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:12 b/test/sys/bus/acpi/devices/device:12
new file mode 120000
index 0000000000..d715768d07
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:12
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:11/device:12 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:13 b/test/sys/bus/acpi/devices/device:13
new file mode 120000
index 0000000000..bb3033f5cc
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:13
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:13 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:14 b/test/sys/bus/acpi/devices/device:14
new file mode 120000
index 0000000000..813cac7cb7
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:14
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:13/device:14 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:15 b/test/sys/bus/acpi/devices/device:15
new file mode 120000
index 0000000000..75f3012ade
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:15
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:13/device:14/device:15 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:16 b/test/sys/bus/acpi/devices/device:16
new file mode 120000
index 0000000000..c03c72704f
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:16
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:16 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:17 b/test/sys/bus/acpi/devices/device:17
new file mode 120000
index 0000000000..7de3b0e648
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:17
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:16/device:17 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:18 b/test/sys/bus/acpi/devices/device:18
new file mode 120000
index 0000000000..1ce92876f5
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:18
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:18 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:19 b/test/sys/bus/acpi/devices/device:19
new file mode 120000
index 0000000000..1180346499
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:19
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:19 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:1a b/test/sys/bus/acpi/devices/device:1a
new file mode 120000
index 0000000000..618f261610
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:1a
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:1a \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:1b b/test/sys/bus/acpi/devices/device:1b
new file mode 120000
index 0000000000..9f5c9e2ed8
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:1b
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:1a/device:1b \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:1c b/test/sys/bus/acpi/devices/device:1c
new file mode 120000
index 0000000000..25c0b84323
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:1c
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:1a/device:1b/device:1c \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:1d b/test/sys/bus/acpi/devices/device:1d
new file mode 120000
index 0000000000..19fb701973
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:1d
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:1d \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:1e b/test/sys/bus/acpi/devices/device:1e
new file mode 120000
index 0000000000..05d6d3d017
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:1e
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:1d/device:1e \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:1f b/test/sys/bus/acpi/devices/device:1f
new file mode 120000
index 0000000000..e68bcc3f0a
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:1f
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:1d/device:1e/device:1f \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:20 b/test/sys/bus/acpi/devices/device:20
new file mode 120000
index 0000000000..26bfff520a
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:20
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:20 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:21 b/test/sys/bus/acpi/devices/device:21
new file mode 120000
index 0000000000..f9d5c37d3c
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:21
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:21 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:22 b/test/sys/bus/acpi/devices/device:22
new file mode 120000
index 0000000000..15947672e9
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:22
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:21/device:22 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:23 b/test/sys/bus/acpi/devices/device:23
new file mode 120000
index 0000000000..8a81978ad8
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:23
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:21/device:22/device:23 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:24 b/test/sys/bus/acpi/devices/device:24
new file mode 120000
index 0000000000..718669b245
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:24
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:21/device:22/device:24 \ No newline at end of file
diff --git a/test/sys/bus/acpi/devices/device:25 b/test/sys/bus/acpi/devices/device:25
new file mode 120000
index 0000000000..1055658761
--- /dev/null
+++ b/test/sys/bus/acpi/devices/device:25
@@ -0,0 +1 @@
+../../../devices/LNXSYSTM:00/device:00/PNP0A08:00/device:25 \ No newline at end of file