summaryrefslogtreecommitdiff
path: root/test/sys/class/block
diff options
context:
space:
mode:
Diffstat (limited to 'test/sys/class/block')
l---------test/sys/class/block/loop01
l---------test/sys/class/block/loop11
l---------test/sys/class/block/loop21
l---------test/sys/class/block/loop31
l---------test/sys/class/block/loop41
l---------test/sys/class/block/loop51
l---------test/sys/class/block/loop61
l---------test/sys/class/block/loop71
l---------test/sys/class/block/md01
l---------test/sys/class/block/sda1
l---------test/sys/class/block/sda11
l---------test/sys/class/block/sda101
l---------test/sys/class/block/sda51
l---------test/sys/class/block/sda61
l---------test/sys/class/block/sda71
l---------test/sys/class/block/sda81
l---------test/sys/class/block/sda91
l---------test/sys/class/block/sdb1
l---------test/sys/class/block/sdb11
l---------test/sys/class/block/sr01
20 files changed, 20 insertions, 0 deletions
diff --git a/test/sys/class/block/loop0 b/test/sys/class/block/loop0
new file mode 120000
index 0000000000..86a5878d7d
--- /dev/null
+++ b/test/sys/class/block/loop0
@@ -0,0 +1 @@
+../../devices/virtual/block/loop0 \ No newline at end of file
diff --git a/test/sys/class/block/loop1 b/test/sys/class/block/loop1
new file mode 120000
index 0000000000..97476753e1
--- /dev/null
+++ b/test/sys/class/block/loop1
@@ -0,0 +1 @@
+../../devices/virtual/block/loop1 \ No newline at end of file
diff --git a/test/sys/class/block/loop2 b/test/sys/class/block/loop2
new file mode 120000
index 0000000000..5fc0d7dd11
--- /dev/null
+++ b/test/sys/class/block/loop2
@@ -0,0 +1 @@
+../../devices/virtual/block/loop2 \ No newline at end of file
diff --git a/test/sys/class/block/loop3 b/test/sys/class/block/loop3
new file mode 120000
index 0000000000..a6d7b89dea
--- /dev/null
+++ b/test/sys/class/block/loop3
@@ -0,0 +1 @@
+../../devices/virtual/block/loop3 \ No newline at end of file
diff --git a/test/sys/class/block/loop4 b/test/sys/class/block/loop4
new file mode 120000
index 0000000000..71386cbfd8
--- /dev/null
+++ b/test/sys/class/block/loop4
@@ -0,0 +1 @@
+../../devices/virtual/block/loop4 \ No newline at end of file
diff --git a/test/sys/class/block/loop5 b/test/sys/class/block/loop5
new file mode 120000
index 0000000000..af83a27367
--- /dev/null
+++ b/test/sys/class/block/loop5
@@ -0,0 +1 @@
+../../devices/virtual/block/loop5 \ No newline at end of file
diff --git a/test/sys/class/block/loop6 b/test/sys/class/block/loop6
new file mode 120000
index 0000000000..efdbd9532a
--- /dev/null
+++ b/test/sys/class/block/loop6
@@ -0,0 +1 @@
+../../devices/virtual/block/loop6 \ No newline at end of file
diff --git a/test/sys/class/block/loop7 b/test/sys/class/block/loop7
new file mode 120000
index 0000000000..7a6d7e4aac
--- /dev/null
+++ b/test/sys/class/block/loop7
@@ -0,0 +1 @@
+../../devices/virtual/block/loop7 \ No newline at end of file
diff --git a/test/sys/class/block/md0 b/test/sys/class/block/md0
new file mode 120000
index 0000000000..2072a2f60f
--- /dev/null
+++ b/test/sys/class/block/md0
@@ -0,0 +1 @@
+../../devices/virtual/block/md0 \ No newline at end of file
diff --git a/test/sys/class/block/sda b/test/sys/class/block/sda
new file mode 120000
index 0000000000..7ecaff1132
--- /dev/null
+++ b/test/sys/class/block/sda
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.2/host0/target0:0:0/0:0:0:0/block/sda \ No newline at end of file
diff --git a/test/sys/class/block/sda1 b/test/sys/class/block/sda1
new file mode 120000
index 0000000000..18dc11fc29
--- /dev/null
+++ b/test/sys/class/block/sda1
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.2/host0/target0:0:0/0:0:0:0/block/sda/sda1 \ No newline at end of file
diff --git a/test/sys/class/block/sda10 b/test/sys/class/block/sda10
new file mode 120000
index 0000000000..d64a8257ae
--- /dev/null
+++ b/test/sys/class/block/sda10
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.2/host0/target0:0:0/0:0:0:0/block/sda/sda10 \ No newline at end of file
diff --git a/test/sys/class/block/sda5 b/test/sys/class/block/sda5
new file mode 120000
index 0000000000..d7bd5661cf
--- /dev/null
+++ b/test/sys/class/block/sda5
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.2/host0/target0:0:0/0:0:0:0/block/sda/sda5 \ No newline at end of file
diff --git a/test/sys/class/block/sda6 b/test/sys/class/block/sda6
new file mode 120000
index 0000000000..2b13ee8459
--- /dev/null
+++ b/test/sys/class/block/sda6
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.2/host0/target0:0:0/0:0:0:0/block/sda/sda6 \ No newline at end of file
diff --git a/test/sys/class/block/sda7 b/test/sys/class/block/sda7
new file mode 120000
index 0000000000..d8b862667f
--- /dev/null
+++ b/test/sys/class/block/sda7
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.2/host0/target0:0:0/0:0:0:0/block/sda/sda7 \ No newline at end of file
diff --git a/test/sys/class/block/sda8 b/test/sys/class/block/sda8
new file mode 120000
index 0000000000..34923aae6d
--- /dev/null
+++ b/test/sys/class/block/sda8
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.2/host0/target0:0:0/0:0:0:0/block/sda/sda8 \ No newline at end of file
diff --git a/test/sys/class/block/sda9 b/test/sys/class/block/sda9
new file mode 120000
index 0000000000..4b108b2336
--- /dev/null
+++ b/test/sys/class/block/sda9
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.2/host0/target0:0:0/0:0:0:0/block/sda/sda9 \ No newline at end of file
diff --git a/test/sys/class/block/sdb b/test/sys/class/block/sdb
new file mode 120000
index 0000000000..96ff40b869
--- /dev/null
+++ b/test/sys/class/block/sdb
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1d.7/usb5/5-2/5-2:1.0/host6/target6:0:0/6:0:0:0/block/sdb \ No newline at end of file
diff --git a/test/sys/class/block/sdb1 b/test/sys/class/block/sdb1
new file mode 120000
index 0000000000..712deabcd0
--- /dev/null
+++ b/test/sys/class/block/sdb1
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1d.7/usb5/5-2/5-2:1.0/host6/target6:0:0/6:0:0:0/block/sdb/sdb1 \ No newline at end of file
diff --git a/test/sys/class/block/sr0 b/test/sys/class/block/sr0
new file mode 120000
index 0000000000..4d1c248a3c
--- /dev/null
+++ b/test/sys/class/block/sr0
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.1/host4/target4:0:0/4:0:0:0/block/sr0 \ No newline at end of file