summaryrefslogtreecommitdiff
path: root/test/sys/module/led_class/srcversion
diff options
context:
space:
mode:
Diffstat (limited to 'test/sys/module/led_class/srcversion')
-rw-r--r--test/sys/module/led_class/srcversion1
1 files changed, 1 insertions, 0 deletions
diff --git a/test/sys/module/led_class/srcversion b/test/sys/module/led_class/srcversion
new file mode 100644
index 0000000000..41de285dc7
--- /dev/null
+++ b/test/sys/module/led_class/srcversion
@@ -0,0 +1 @@
+B916A50F53ABBBB19DFF203